Verilator

Latest version: v5.32.0

Safety actively analyzes 723607 Python packages for vulnerabilities to keep your Python projects secure.

Scan your dependencies

Page 25 of 43

3.653

==========================

**Minor:**

* Support SystemVerilog ==? and !=? operators.
* Fix SC_LIBS missing from generated makefiles. [Ding Xiaoliang]

3.652

==========================

**Minor:**

* Report as many warning types as possible before exiting.
* Support V2K portlists with "input a,b,...". [Mark Nodine]
* Support V2K function/task argument lists.
* Optimize constant $display arguments.
* Fix preprocessor dropping some `line directives. [Mark Nodine]

3.651

==========================

**Major:**

* Add verilator_profcfunc utility. [Gene Weber]

**Minor:**

* Treat modules within `celldefine and `endcelldefine as if in library.
* Support functions which return integers. [Mark Nodine]
* Warn if flex is not installed. [Ralf Karge]
* Ignore `protect and `endprotect.
* Fix empty case/endcase blocks.

3.650

==========================

**Major:**

* Add --compiler msvc option. This is now required when Verilated code
is to be run through MSVC++. This also enables fixing MSVC++ error
C1061, blocks nested too deeply. [Ralf Karge]
* Add --lint-only option, to lint without creating other output.

**Minor:**

* Add /*verilator lint_save*/ and /*verilator lint_restore*/ to allow
friendly control over re-enabling lint messages. [Gerald Williams]
* Support SystemVerilog .name and .* interconnect.
* Support while and do-while loops.
* Use $(LINK) instead of $(CXX) for Makefile link rules. [Gerald Williams]
* Add USER_CPPFLAGS and USER_LDFLAGS to Makefiles. [Gerald Williams]
* Fix compile errors under Windows MINGW compiler. [Gerald Williams]
* Fix dotted bit reference to local memory. [Eugene Weber]
* Fix 3.640 `verilog forcing IEEE 1364-1995 only. [David Hewson]

3.640

==========================

**Minor:**

* Support Verilog 2005 `begin_keywords and `end_keywords.
* Updated list of SystemVerilog keywords to correspond to IEEE 1800-2005.
* Add /*verilator public_flat*/. [Eugene Weber]
* Try all +libext's in the exact order given. [Michael Shinkarovsky]
* Fix elimination of public signals assigned to constants. [Eugene Weber]
* Fix internal error when public for loop has empty body. [David Addison]
* Fix "Loops detected" assertion when model exceeds 4GB. [David Hewson]
* Fix display %m names inside named blocks.

3.633

==========================

**Minor:**

* Add --trace-depth option for minimizing VCD file size. [Emerson Suguimoto]
* With VL_DEBUG, show wires causing convergence errors. [Mike Shinkarovsky]
* Fix isolate_assignments when many signals per always. [Mike Shinkarovsky]
* Fix isolate_assignments across task/func temporaries. [Mike Shinkarovsky]
* Fix $display's with array select followed by wide AND. [David Hewson]

Page 25 of 43

Links

Releases

Has known vulnerabilities

© 2025 Safety CLI Cybersecurity Inc. All Rights Reserved.